Is Xilinx’s Vitis a game changer? This Unified Software Platform unlocks a New Design Experience for Developers

After investing over a 1000 man-years over and 5 years, Xilinx released the highly anticipated Vitis unified software platform.

The Vitis platform plugs into common software tools and has a rich set of open source libraries that enable developers to focus on their algorithms.  As the complexity of FPGA continues to grow with the addition of more and more features, the promise that Vitis brings to the software and hardware engineer is significant.  This platform, although many have made comparisons with Cuda and Nvidia, seems to bring a more advance prospective by bringing together tools as well as a platform that will dynamically address new features through time.   Vitis is free of charge and is supported by a large team and community through a web based platform.

“With exponentially increasing the computer needs, engineers and scientists are often limited by the fixed nature of silicon,” said Victor Peng, President and Chief Executive Officer during his key note at XDF.  “Xilinx has created a singular environment that enables programmers and engineers from all disciplines to co-develop and optimize both their hardware and software, using tools and frameworks they already know and understand.  This means that they can adapt their hardware to their applications without the need for new silicon.”

The Vitis platform

The Vitis Stack

The Vitis platform is built on a stack-based architecture that plugs seamlessly into open-source standard development systems and build environments, but most importantly, it includes a rich set of standard libraries.

The base layer is the Vitis target platform, which includes a board and preprogrammed I/O. The second layer, called the Vitis core development kit, encompasses the open-source Xilinx runtime library to manage the data movement between different domains, including the subsystems, the AI Engine in the forthcoming Versal ACAP™, as well as an external host, if required. This layer also includes the core development tools such as compilers, analyzers and debuggers. While Xilinx provides a world-class design environment, these tools are designed to integrate seamlessly with industry-standard build systems and development environments.

In the third layer (see diagram on next page) are more than 400 optimized and open-source applications across eight Vitis libraries.  These include the Vitis Basic Linear Algebra Subprograms (BLAS) library, the Vitis Solver library, the Vitis Security library, the Vitis Vision library, the Vitis Data Compression library, the Vitis Quantitative Finance library, the Vitis Database library and the Vitis AI library. These enable software developers to call pre-accelerated functions using a standard application programming interface (API).

The Vitis third layer

Vitis AI and Domain specific

Architectures

The fourth and most game-changing element of the platform is Vitis AI, which integrates a domain specific architecture (DSA). The DSA configures Xilinx hardware to be optimized and programmed using industry-leading frameworks like TensorFlow and Caffe. Vitis AI provides the tools to optimize, compress and compile trained AI models running on a Xilinx device in about one minute. It also delivers specialized APIs for deployment from edge to cloud, all with best-in-class inference performance and efficiency. Xilinx will soon be releasing another DSA, called Vitis Video, to enable video encoding directly from FFmpeg for the same, ultra-simple, highly powerful end-to-end solution. DSAs available from partner companies include Illumina, which integrates with GATK for genome analysis, and BlackLynx, which integrates with Elastic Search for big data analytics, along with proprietary DSAs.

Leave a Reply

Your email address will not be published. Required fields are marked *